Aug 22, 2012

Photolithography Processing.



Photolithography Processing.
Photolithography is the process of transferring geometric shapes on a mask to the surface of a silicon wafer.
Photolithography Processing: Basic concepts for photolithography, process overview, negative and positive lithography, critical dimension generations, light spectrum, resolution and process latitude, Eight basic steps of photolithography process.
In spite of advances in photolithography, processor clockspeeds remained largely constant from 2000 to 2006, because clock speed of memory was maximum 666 MHz. Hence the industry has adopted multitasking and multi core architectures.
Types of lithography.
UV Lithography: This is usually referred to as photolithography itself. UV light source is used.
Immersion UV Lithography: Optical immersion lithography utilizes liquids with refractive indices >1 (the index of air) below the last lens element to enhance numerical aperture and resolution, enabling sub-40-nm feature patterning. This shift from conventional dry optical lithography introduces numerous challenges requiring innovations in materials at all imaging stack levels.
X ray Lithography: It uses X-rays to transfer a geometric pattern from a mask to a light-sensitive chemical photo resist, or simply "resist," on the substrate. A series of chemical treatments then engraves the produced pattern into the material underneath the photo resist.
Electron Beam Lithography: The primary advantage of electron beam lithography is that it is one of the ways to beat the diffraction limit of light and make features in the nanometre regime. This form of maskless lithography has found wide usage in photo mask-making used in photolithography, low-volume production of semiconductor components, and research & development.

Ion Beam Lithography:
Ion beam lithography, or ion projection lithography, is similar to Electron beam lithography, but uses much heavier charged particles, ions. Ion beam lithography has been found to be useful for transferring high-fidelity patterns on three-dimensional surfaces. Ion beam lithography offers higher resolution patterning than UV, X-ray, or electron beam lithography because these heavier particles have more momentum. This gives the ion beam a smaller wavelength than even an e-beam and therefore almost no diffraction. The momentum also reduces scattering in the target and in any residual gas. There is also a reduced potential radiation effect to sensitive underlying structures compared to x-ray and e-beam lithography.

Nanoimprint lithography is a method of fabricating nanometer scale patterns. It is a simple nanolithography process with low cost, high throughput and high resolution. It creates patterns by mechanical deformation of imprint resist and subsequent processes. The imprint resist is typically a monomer or polymer formulation that is cured by heat or UV light during the imprinting. Adhesion between the resist and the template is controlled to allow proper release.
Scanning probe lithography describe a set of lithographic methods, in which a microscopic or nanoscopic stylus is moved mechanically across a surface to form a pattern.
This type of method can be split in two different groups:
Constructive - In which the patterning is done by directly transferring chemical species to the surface (Dip Pen Nanolithography)
Destructive - In which the patterning is done by providing the substrate with energy (Either mechanical, or thermal, photonic, ionic, electronic, Xrays, and so on and so forth) to physically, chemically, electronically deform the substrate's surface.
Main parameters of lithography.
 Resolution
 Throughput (wafers per hour)
 Registration (alignment accuracy)
Three Basic UV Exposure Methods
Contact Printing
In contact printing, the resist-coated silicon wafer is brought into physical contact with the glass photomask. The wafer is held on a vacuum chuck, and the whole assembly rises until the wafer and mask contact each other. The photoresist is exposed with UV light while the wafer is in contact position with the mask. Because of the contact between the resist and mask, very high resolution is possible in contact printing (e.g. 1-micron features in 0.5 microns of positive resist). The problem with contact printing is that debris, trapped between the resist and the mask, can damage the mask and cause defects in the pattern.
Proximity Printing
The proximity exposure method is similar to contact printing except that a small gap, 10 to 25 microns wide, is maintained between the wafer and the mask during exposure. This gap minimizes (but may not eliminate) mask damage. Approximately 2- to 4-micron resolution is possible with proximity printing.
Projection Printing
Projection printing, avoids mask damage entirely. An image of the patterns on the mask is projected onto the resist-coated wafer, which is many centimeters away. In order to achieve high resolution, only a small portion of the mask is imaged. This small image field is scanned or stepped over the surface of the wafer. Projection printers that step the mask image over the wafer surface are called step-and-repeat systems. Step-and-repeat projection printers are capable of approximately 1-micron resolution. They print » 50 wafers/hour and cost $10M -20M.

The reticle and photomasks are the two things used to form the pattern on the substrate.



Feature Size is usually roughly half of the resolution. The minimum feature size is called Critical Dimension.
Registration: how accurately patterns on successive masks can be aligned (or overlaid) with respect to previously defined patterns.
Throughput: number of wafers that can be exposed/unit time for a given mask level.
Until now, most used portion of spectrum is the UV spectrum. This is due to the cheap and ready availability of UV sources.
UV spectrum is 10 nm to 400 nm.
Mercury Vapour Lamp Source: g line =436 nm
i line= 365nm
Deep UV (DUV) : Current state-of-the-art photolithography tools use deep ultraviolet (DUV) light from excimer lasers with wavelengths of 248 and 193 nm (the dominant lithography technology today is thus also called "excimer laser lithography"), which allow minimum feature sizes down to 50 nm. Excimer laser lithography has thus played a critical role in the continued advance of the so-called Moore’s Law for the last 20 years.
Alignment:
n  Mask for each layer must be aligned to previous layer patterns
n  For a minimum feature size ~ 1 mm => alignment tolerance should be +/- 0.2 mm
n  To align, wafer is held on vacuum chuck and moved around using an xyz stage
Overlay Budget: How much misalignment is allowed.
Positive and Negative Photoresists.
There are two types of photoresist: positive and negative. For positive resists, the resist is exposed with UV light wherever the underlying material is to be removed. In these resists, exposure to the UV light changes the chemical structure of the resist so that it becomes more soluble in the developer. The exposed resist is then washed away by the developer solution, leaving windows of the bare underlying material. In other words, "whatever shows, goes." The mask, therefore, contains an exact copy of the pattern which is to remain on the wafer.
Negative resists behave in just the opposite manner. Exposure to the UV light causes the negative resist to become polymerized, and more difficult to dissolve. Therefore, the negative resist remains on the surface wherever it is exposed, and the developer solution removes only the unexposed portions. Masks used for negative photoresists, therefore, contain the inverse (or photographic "negative") of the pattern to be transferred. The figure below shows the pattern differences generated from the use of positive and negative resist.

Masks where most of the Cr remains and the features of interest are defined by regions where the Cr is removed are referred to as positive tone or dark field masks.  Masks where most of the Cr is removed and the features are defined by regions where the Cr remains are referred to as negative tone or clear field masks.  This is illustrated in the following figure.

Eight Steps of Lithography:
Vapour Priming: Cleaning the sample to remove dirt dust and residual PR. If water is present on top, it causes poor photoresist adhesion. So we bake wafer at 200-250C (dehydration baking).
Another objective of Vapor Priming is to make the inorganic Silicon surface more adhesive to the organic PR being used.
1)Wafer surface is first cleaned to remove dirt dust and residual PR.
2)Pre bake at 200-250 C to evaporate off excess water. Complete moisture removal is quite impossible due to the strong –OH bond. So, after pre baking, the oxide will form bonds with moisture in the air.
3) Then we prime the wafer with HMDA –HexaMethylDiSilazane. HMDA is dispensed onto the wafer held by vacuum chuck. The excess liquid is then spun off.

The HMDS primer will bond with the –OH groups to seal out any moisture. The Si(CH3)3 molecules are compatible with the PR, creating adhesion between the two.
2. Spin Coating: The photoresist is then applied to the vapour primed wafer. The wafer is held on chuck and the nozzle applies ~5ml phototresist to the centre while chuck rotates at slow speeds of 500 rpm.
This speed is then ramped up to 3000-5000 rpm. Resist layer thickness depends on viscosity of resist and is inversely proportional to the squareroot of the spin speed, t 1/√ω2.
Edge Bead: The PR may sometimes form a cusp at the wafer edge or may even spil ot to the other side of the wafer. The edge beads can be removed at the end of spin coating at reduced spin speed. A jet of solvent suitable for the type of photoresist is directed to the top 2-5mm edge of the wafer to dissolve the front surface edge bead and another jet of solvent is directed to the backside of the wafer to remove the backside edge bead.
3. Soft Baking: Soft-baking is the step during which almost all of the solvents are removed from the photoresist coating. Soft-baking plays a very critical role in photo-imaging. The photoresist coatings become photosensitive, or imageable, only after softbaking. Improves Photoresist-to-Wafer Adhesion and Promotes Resist Uniformity on Wafer. Oversoft-baking will degrade the photosensitivity of resists by either reducing the developer solubility or actually destroying a portion of the sensitizer. Undersoft-baking will prevent light from reaching the sensitizer. Positive resists are incompletely exposed if considerable solvent remains in the coating. This undersoft-baked positive resists is then readily attacked by the developer in both exposed and unexposed areas, causing less etching resistance.
Typical Bake Temperatures are 90 to 100°C for about 30 Seconds, On a Hot Plate, Followed by Cooling Step on Cold Plate

4. Alignment and Expose: One of the most important steps in the photolithography process is mask alignment. A mask or "photomask" is a square glass plate with a patterned emulsion of metal film on one side. The mask is aligned with the wafer, so that the pattern can be transferred onto the wafer surface. Each mask after the first one must be aligned to the previous pattern.
After prebaking, the photoresist is exposed to a pattern of intense light. The exposure to light causes a chemical change that allows some of the photoresist to be removed by a special solution, called "developer" by analogy with photographic developer. Positive photoresist, the most common type, becomes soluble in the developer when exposed; with negative photoresist, unexposed regions are soluble in the developer.

The areas that are exposed to the UV light will undergo a chemical reaction. The water in this reaction is obtained from humidity in the air. If the air is not humid enough, the remaining carbon bond will bond with the resin, creating an insoluble material.

5. Post Expose Bake:
In this the wafer is heated to Typical Temperatures 100 to 110°C on a hot plate immediately after Exposure . In chemically amplified resists, the PEB catalytically performs and completes the photo reaction initiated during exposure. A PEB performed near the softening point of the photo resist reduces mechanical stress formed during softbake and exposure of especially thick resist films due to the expanding nitrogen and therefore improves resist adhesion and reduces underetching in subsequent wet chemical etching. However, a certain delay between exposure and PEB is required to outgas N2. Otherwise, during PEB the N2 in the resist will expand and increase mechanical stress in the film!
The PEB promotes the thermally activated diffusion of carboxylic acid formed during exposure from the photo active compound. This diffusion step smoothens the spatial periodic pattern of carboxylic acid having their origin in standing light waves during monochromatic exposure especially in case of highly reflective substrates. These patterns otherwise would transfer to the resist profile

6. Develop: Soluble areas of photoresist are dissolved by developer chemical. Commonly used chemical for positive resists is Tetramethylammonium hydroxide. Tetramethylammonium hydroxide (TMAH or TMAOH) is a quaternary ammonium salt with the molecular formula [(CH3)4N]+[OH]-, and is highly effective in stripping photoresist.

7.Hard-Baking
Hard-baking is the final step in the photolithographic process. This step is necessary in order to harden the photoresist and improve adhesion of the photoresist to the wafer surface. The hardbake sometimes performed after development intends to increase the thermal, chemical, and physical stability of developed resist structures for subsequent processes (e.g. electroplating, wet-chemical and dry-chemical etching). Evaporates Remaining Solvent and Improve Resist-to-Wafer Adhesion .
8. Develop Inspect: Inspect the wafers for defects, and redo if needed.

By Sharath with No comments

    • Popular
    • Categories
    • Archives